home *** CD-ROM | disk | FTP | other *** search
/ Collection of Internet / Collection of Internet.iso / faq / comp / lsi_cad_ / part2 < prev    next >
Text File  |  1994-04-07  |  35KB  |  824 lines

  1. Newsgroups: comp.lsi,comp.lsi.cad,news.answers,comp.answers
  2. Path: bloom-beacon.mit.edu!news.media.mit.edu!uhog.mit.edu!europa.eng.gtefsd.com!library.ucla.edu!news.ucdavis.edu!altarrib!mingus
  3. From: altarrib@mingus.ece.ucdavis.edu (Michael Altarriba)
  4. Subject: comp.lsi.cad Frequently Asked Questions With Answers (Part 2/4) [LONG]
  5. Message-ID: <lsi-cad-faq/part2_765764461@bird.ece.ucdavis.edu>
  6. Followup-To: comp.lsi.cad
  7. Summary: This is a biweekly posting of frequently asked questions with answers 
  8.          the for comp.lsi / comp.lsi.cad newsgroups. It should be consulted 
  9.          before posting questions to comp.lsi or comp.lsi.cad.
  10. Keywords: FAQ
  11. Sender: usenet@ucdavis.edu (News Guru)
  12. Supersedes: <lsi-cad-faq/part2_764292766@bird.ece.ucdavis.edu>
  13. Reply-To: clcfaq@ece.ucdavis.edu
  14. Organization: Department of Electrical and Computer Engineering, UC Davis
  15. References: <lsi-cad-faq/part1_765764461@bird.ece.ucdavis.edu>
  16. Date: Fri, 8 Apr 1994 00:19:34 GMT
  17. Approved: news-answers-request@MIT.Edu
  18. Lines: 803
  19. Xref: bloom-beacon.mit.edu comp.lsi:1580 comp.lsi.cad:2137 news.answers:17800 comp.answers:4815
  20.  
  21. Archive-name: lsi-cad-faq/part2
  22.  
  23.   CIF and Calma layers.  The current CIF output style (see cif ostyle) con-
  24.   trols how Calma stream layers are generated from Magic layers.
  25.  
  26.   cif [option] [args]
  27.  
  28.   Read or write files in Caltech Intermediate Form (CIF).
  29.  
  30. 16: CFI (CAD Framework Initiative Inc.)
  31.  
  32.   (From Randy Kirchhof <rkk@cfi.org>)
  33.  
  34.               CFI quick FAQ guide for release 1.0, v1.1
  35.  
  36.   For those of you who may be unfamiliar with our work, The CAD Framework
  37.   Initiative Inc. was formed in May 1988. We're located in Austin, TX,
  38.   although we're a distributed company. We're a  not-for-profit consortium
  39.   formed under the laws of the state of Delaware.  Our mission is to pro-
  40.   vide industry-accepted standards and technology that enable interopera-
  41.   bility of electronic design automation (EDA) applications and data for
  42.   end-users and suppliers world-wide.  This includes interoperability
  43.   between EDA applications as well as the integration of EDA applications
  44.   into CAD frameworks.
  45.  
  46.   A CAD framework is a software infrastructure which provides a common
  47.   operating environment for CAD tools.  Through a framework, a user should
  48.   be able to launch and manage tools, create, organize, and manage data,
  49.   graphically view the entire design process and perform design management
  50.   tasks such as configuration management, version management, etc.  CFI
  51.   Release 1.0 started shipping in January 1993.
  52.  
  53.   Q      When can users buy CFI compliant tools?
  54.  
  55.   A      Eleven vendor companies have announced EDA products and frameworks
  56.          which will be available and compliant with CFI 1.0 standards. CFI
  57.          has initiated a formal certification program for these (and future
  58.          products) as of 12/93. CFI expects to begin awarding the first
  59.          certification brand marks in the first quarter of 1994.  We expect
  60.          to see a rapid expansion of compliant products beginning in the
  61.          third quarter of 1994.
  62.  
  63.   Q      How can the Standards be obtained?  Are there any restrictions?
  64.  
  65.   A      The 1.0 Standards, copyrighted by CFI, are available to members
  66.          and non-members priced as a set or individually through CFI Member
  67.          Services (512) 338-3739.  They will also being distributed under
  68.          license by Cadence, Mentor Graphics, and Viewlogic as part of
  69.          their product documentation.  Versions of the 1.0 Standards are
  70.          available on diskette in an electronic format as well as bound
  71.          manuals.
  72.  
  73.   Q      How do the CFI Standards relate to vendor framework programs like
  74.          Mentor's Open Door, Viewlogic Power Team and Cadence Connection
  75.          Partners - with so many point tool vendors participating, don't
  76.          they have this problem solved?
  77.  
  78.   A      The major EDA vendors have been and continue to be challenged by
  79.          their customers over multi-vendor integration.  These programs
  80.          were a practical response by opening up their existing interfaces
  81.          and providing services to assist integration.  CFI 1.0, and future
  82.          releases, will create a functional alternative to a growing subset
  83.          of those interfaces so that the requirement that point tool ven-
  84.          dors create partnership specific versions of their tool will
  85.          decrease.  Actually, the service provided through these programs
  86.          will likely compliment the CFI certification effort as these
  87.          supplier's frameworks become fully certified.
  88.  
  89. Contact: cfi@cfi.org (CFI Member Services, Jean Gallagher) CFI Main number:
  90. (512) 338-3739   Fax: (512) 338-3853
  91.  
  92. 17: What synthesis systems are there?
  93.  
  94.   Thanks to Simon Leung <sleung@sun1.atitech.ca>, Michel Berkelaar
  95.   <michel@ele.tue.nl>, Noritake Yonezawa <yonezawa@cs.uiuc.edu>, Donald A
  96.   Lobo <lobo@guardian.cs.psu.edu>, Greg Ward <gregw@bnr.ca>, Peter Duzy,
  97.   Robert Walker <walkerb@turing.cs.rpi.edu>, Heinrich Kraemer
  98.   <kraemer@fzi.de>, Luciano Lavagno <luciano@ic.berkeley.edu>
  99.  
  100.   ADPS
  101.   - Case Western Reserve University, USA
  102.   - scheduling and data path allocation
  103.   - Papachristou, C.A. et al.: "A Linear Program Driven Scheduling and
  104.     Allocation Method Followed by an Interconnect Optimization Algorithm",
  105.     Proc. of the 27th DAC, pp. 77-83, June 1990.
  106.  
  107.   ALPS/LYRA/ARYL
  108.   - Tsing Hua University
  109.   - scheduling and data path allocation
  110.   - Lee, J-H: et al.: "A New Integer Linear Programming Formulation of
  111.     the Scheduling Problem in Data Path Synthesis", Proc. of ICCAD89, pp.
  112.     20-23, November 1989.
  113.  
  114.   BDSYN
  115.   - University of California, Berkeley, USA
  116.   - FSM synthesis from DECSIM language for multilevel combination-logic
  117.     realization
  118.   - Brayton, R.: "Multiple-level Logic Optimization System",  Proc. of IEEE
  119.     ICCAD, Santa Clara, Nov. 1986
  120.  
  121.   BECOME
  122.   - AT & T Bell Labs, USA
  123.   - FSM synthesis from C-like language for PLA, PLD and standard cell realization
  124.   - Wei, R-S.: "BECOME: Behavior Level Circuit Synthesis Based on Structure
  125.     Mapping", Proc. of 25th ACM/IEEE Design Automation Conference, pp. 409-414,
  126.     IEEE, 1988
  127.  
  128.   BOLD
  129.   - logic optimization
  130.   - Bartlett, K. "Synthesis and Optimization of Multilevel Logic Under Timing
  131.     Constraints", IEEE Transactions on Computer-Aided Design, Vol 5, No 10,
  132.     October 1986
  133.  
  134.   BRIDGE
  135.   - AT & T Bell Labs, USA
  136.   - High-level synthesis FDL2-language descriptions
  137.   - Tseng: "Bridge: A Versatile Behavioral Synthesis System", Proc. of 25th
  138.     ACM/IEEE Design Automation Conference, pp. 415-420, IEEE, 1988
  139.  
  140.   CADDY
  141.   - Karlsruhe University, Germany
  142.   - behavioral synthesis using VHDL as the input/output language, based on
  143.     data-flow analysis; automated component selection (allocation), scheduling,
  144.     and assignment. Different architechture styles are supported, such as
  145.     multiplexers vs busses and two-phase vs single phase clocks.
  146.   - Camposano, R.: "Synthesing Circuits From Behavioral Descriptions", IEEE
  147.     Transactions on Computer-Aided Design, Vol. 8, No. 2, February 1989
  148.     Rosenstiel, W., Kraemer, H.: "Scheduling and Assignment in High-Level
  149.     Synthesis", in 'High-Level VLSI-Synthesis' R. Camposano, W. Wolf Ed.
  150.     Kluwer, 1991
  151.     Gutberlet P., Mueller J., Kraemer H., Rosenstiel W.: "Automatic Module
  152.     Allocation in High-level Synthesis", Proc. of 1st EURO-DAC, 1992
  153.  
  154.   CALLAS
  155.   - Siemens, Germany
  156.   - highlevel, algortihmic and logic synthesis (contains CADDY, see
  157.     above)
  158.   - Koster, M. et al.: "ASIC Design Using the High-Level Synthesis
  159.     System CALLAS: A Case Study", Proc. IEEE International Conference on
  160.     Computer Design (ICCD '90), pp. 141-146, Cambridge, Massachusetts,
  161.     Sept. 17-19, 1990
  162.  
  163.   CAMAD
  164.   - Linkoping University, Sweden
  165.   - scheduling, data path allocation and iteration from a Pascal subset
  166.   - Peng, Z.: "CAMAD: A Unified Data Path/ Control Synthesis
  167.     Environment", Proc. of the IFIP Working Conference on Design
  168.     Methodologies for VLSI and Computer Architecture, pp. 53-67, Sept.
  169.     1988.
  170.  
  171.   CARLOS
  172.   - Karlsruhe University, Germany
  173.   - multilevel logic optimization for CMOS realizations
  174.   - Mathony, H-J.: "CARLOS: An Automated Multilevel Logic Design System for
  175.     CMOs", IEEE Transactions on Computer-Aided
  176.     Design, Vol 7, No 3, pp. 346-355, March 1988
  177.  
  178.   CATHEDRAL
  179.   - Univ. of Leuve, Phillips and Siemens, Belgium
  180.   - synthesis of DSP-circuits from algorithm descriptions
  181.   - De Man, H.: "Architecture-Driven Synthesis Techiques for VLSI Implementation
  182.     of DSP Algorithms", Proceedings of the IEEE, Vol. 78, NO. 2, pp. 319,
  183.     February 1990
  184.  
  185.   CATREE
  186.   - Univ. of Waterloo, Canada
  187.   - scheduling and data path allocation
  188.   - Gebotys, C.H.: "VLSI Design Synthesis with Testability", Proc. of
  189.     the 25th DAC, pp. 16-21, June 1988
  190.  
  191.   CHARM
  192.   - AT & T Bell Labs., USA
  193.   - data-path synthesis
  194.   - Woo, N-S.: "A Global, Dynamic Register Allocation and Binding for a
  195.     Data Path Synthesis System", Proc. of the 27th DAC, pp. 505-510, June 1990.
  196.  
  197.   CMU-DA (2)
  198.   - Carnagie-Mellon University, USA
  199.   - behavioral synthesis from ISPS
  200.   - Thomas, D.: "Linking the Behavioral and Structural Domains of Representation
  201.     for Digital System Design", IEEE Transactions on Computer-Aided Design, pp.
  202.     103-110, Vol. 6, No. 1, January 1987
  203.  
  204.   CONES
  205.   - AT & T Bell Labs, USA
  206.   - FSM synthesis, produces 2-level logic realizations (truth-table)
  207.   - Stroud, C.E.: "CONES: A System for Automated Synthesis of VLSI and
  208.     programmable logic from behavioral models", Proc. of IEEE ICCAD, Santa Clara,
  209.     Nov. 1986.
  210.  
  211.   DAGAR
  212.   - University of Texas, Austin, USA.
  213.   - scheduling and data-path allocation
  214.   - Raj. V.K.: "DAGAR: An Automatic Pipelined Microarchitecture
  215.     Synthesis System", Proc. of ICCD '89, pp. 428-431, October 1989.
  216.  
  217.   DELHI
  218.   - IIT
  219.   - design iteration, scheduling and data path allocation
  220.   - Balakrishnan, M. et al.: "Integrated Scheduling and Binding: A
  221.     Synthesis Approach for Design Space Exploration", Proc. of the 26th
  222.     DAC, pp. 68-74, June 1989
  223.  
  224.   DESIGN AUTOMATION ASSISTANT (DAA)
  225.   - AT & T Bell Labs, USA
  226.   - expert system for data path synthesis
  227.   - Kowalski, T.J. "The VLSI Desig Automation Assistant: An Architecture
  228.     Compiler", Silicon Compilation, pp. 122-152, Addison-Wesley, 1988
  229.  
  230.   ELF
  231.   - Carleton University, Canada
  232.   - scheduling and data path allocation
  233.   - Girczyc, E.F. et al.: "Applicability of a Subset of Ada as an
  234.     Algorithmic Hardware Description Language for Graph-Based Hardware
  235.     Compilation", IEEE Trans. on CAD, pp. 134-142, April 1985.
  236.  
  237.   EUCLID
  238.   - Eindhoven University of Technology, Netherlands
  239.   - logic synthesis
  240.   - Berkelaar, Michel R.C.M. and Theeuwen, J.F.M., "Real Area-Powe-Delay
  241.     Trade-off in the EUCLID Logic Synthesis System" , proceedings of the Custom
  242.     Integrated Circuits Conference 1990, Boston MA USA, pp 14.3.1 ff
  243.  
  244.   EXLOG
  245.   - NEC Corporation, Japan
  246.   - expert system, synthesizes gate level circuits from FDL descriptions
  247.   - M. Watanabe, et al.,: "EXLOG: An Expert System for Logic Synthesis in
  248.     Full-Custom VLSI Design", Proc. of 2nd Int. Conf. Application of Artificial
  249.     Intelligence, August 1987.
  250.  
  251.   FACE/PISYN
  252.   - General Electric, USA
  253.   - FACE: high-level synthesis tools and a tool framework, PISYN:
  254.     synthesis of pipelined architecture DSP systems (mostly)
  255.   - Smith, W.D. et al.: "FACE Core Environment: The Model and it's
  256.     Application in CAE/CAD Tool Development", Proc. of the 26th DAC, pp.
  257.     466-471, June 1989.
  258.  
  259.   FLAMEL
  260.   - Stanford University, USA
  261.   - data path and control-logic synthesis from Pascal description
  262.   - Trickey, H. "Flamel: A High-Level Hardware Compiler", IEEE Transactions
  263.     on Computer-Aided Design, Vol 6, No 2, March 1987.
  264.  
  265.   HAL
  266.   - Carleton University, Canada
  267.   - data path synthesis
  268.   - Paulin, P.: "Force-Directed Scheduling for the Behavioral Synthesis of
  269.     ASIC's", IEEE Transaction on Computer-Aided Design, pp. 661,
  270.     Vol. 8, No. 6, June 1989.
  271.  
  272.   HARP
  273.   - NTT, Japan
  274.   - scheduling and data path-allocation from FORTRAN
  275.   - Tanaka, T. et al.: "HARP: Fortran to Silicon", IEEE Trans. on CAD,
  276.     pp. 649-660, June 1989.
  277.  
  278.   HYPER
  279.   - UCB, USA
  280.   - synthesis for realtime applications (scheduling, allocation, module
  281.     binding, controller design)
  282.   - Chu, C-M. et al.: "HYPER: An Interactive Synthesis Environment for
  283.     Real Time Applications", Proc. of ICCD '89, pp. 432-435, October 1989
  284.  
  285.   IMBSL/RLEXT
  286.   - Univ. of Illinois, USA
  287.   - data-path allocation, RTL-level design
  288.   - Knapp D.W.: "Manual Rescheduling and Incremental Repair of Register
  289.     Level Data Paths", Proc. of ICCAD '89, pp.58-61, November 1989.
  290.  
  291.   LSS (Logic Synthesis System)
  292.   - IBM, USA
  293.   - logic synthesis and optimization from many RTL-languages
  294.   - Darringer, J. et al. "LSS: A System for Production Logic Synthesis",
  295.     IBM Journal of Research and Developement, vol. 28, No. 5, pp. 272-280,
  296.     Sept 1984.
  297.  
  298.   MAHA
  299.   - University of Southern California, USA
  300.   - data path synthesis
  301.   - Parker, A.C. "MAHA: A Program for Data Path Synthesis", Proc. 23rd ACM/IEEE
  302.     Design Automation Conference, pp. 252-258, IEEE 1986.
  303.  
  304.   MIMOLA
  305.   - University of Dortmund, Germany
  306.   - scheduling, data-path allocation and controller design
  307.   - Marwedel, P. "Matching System And Component Behavior in MIMOLA
  308.     Synthesis Tools", Proc. of EDAC '90, pp. 146-156, March 1990.
  309.  
  310.   OLYMPUS/HERCULES
  311.   - Stanford University, USA
  312.   - behavioral synthesis from C-language (HERCULES), logic and physical
  313.     synthesis
  314.   - De Micheli, G.: "HERCULES - A System for High-Level Synthesis", Proceedings
  315.     of the 25th ACM/IEEE Design Automation Conference, pp. 483-488, IEEE 1988
  316.  
  317.   SEHWA
  318.   - University of Southern California, USA
  319.   - pipeline-realizations from behavioral descriptions
  320.   - Park, N. "SEWHA: A Program for Synthesis of Pipelines", Proc. 23rd ACM/IEEE
  321.     Design Automation Conference, pp. 454-460, IEEE 1986.
  322.  
  323.   SIEMENS' SYNTHESIS SYSTEM
  324.   - Siemens, Germany
  325.   - partitioning, data path allocation and scheduling
  326.   - Scheichenzuber, J. et al.: "Global Hardware Synthesis from
  327.     Behavioral Dataflow Descriptions", Proc. of the 27th DAC, pp. 456-461,
  328.     June 1990.
  329.  
  330.   SIS (formerly MIS (II/MV))
  331.   - University of California, Berkeley, USA
  332.   - synthesis and verification system for sequential logic
  333.   - E. M. Sentovich, K. J. Singh, L. Lavagno, C. Moon, R. Murgai,
  334.     A. Saldanha, H. Savoj, P. R. Stephan, R. K. Brayton,
  335.     A. Sangiovanni-Vincentelli: "SIS: A System for Sequential Circuit
  336.     Synthesis", Tech report UCB/ERL M92/41, University of California,
  337.     Berkeley, CA, May 1992
  338.  
  339.   SOCRATES
  340.   - General Electric, University of Colorado, USA
  341.   - expert system
  342.   - logic optimization and mapping for different technologies
  343.   - de Geus, A.J., "The Socrates Logic Synthesis and Optimization System",
  344.     Design Systems for VLSI Circuits, pp. 473-498, Martinus Nijhoff Publishers,
  345.     1987.
  346.  
  347.   SPAID
  348.   - Universty of Waterloo, Canada
  349.   - DSP-synthesis for silicon compiler realizations
  350.   - Haroun, B.: "Architectural Synthesis for DSP Silicon Compilers", IEEE
  351.     Transactions on Computer-Aided Design, pp. 431-447, Vol. 8, No 4, April 1989.
  352.  
  353.   SYNFUL
  354.   - Bell-Northern Research, Canada
  355.   - RTL and FSM synthesis for a production environment
  356.   - G. Ward, "Logic Synthesis at BNR: A SYNFUL Story", Proceedings
  357.     Canadian Conference on Very Large Scale Integration, October 1990.
  358.  
  359.   SYSTEM ARCHITECT'S WORKBENCH
  360.   - Carnagie-Mellon University, USA
  361.   - behavioral synthesis
  362.   - Thomas, D. "The System Architect's Workbench", Proceedings of the 25th
  363.     ACM/IEEE Design Automation Conference, pp. 337-343, IEEE 1988
  364.  
  365.   UCB'S SYNTHESIS SYSTEM
  366.   - UCB, USA
  367.   - transformations, scheduling and data path allocation
  368.   - Devadas, S.: "Algorithms for Hardware Allocation in Data Path
  369.     Synthesis", IEEE Trans. on CAD, pp. 768-781, July 89
  370.  
  371.   SPLICER
  372.   - University of Illinois, USA
  373.   - scheduling and data-path allocation
  374.   - Pangrle, B.M.: "Splicer: A Heuristic Approach to Connectivity
  375.     Binding", Proc. of the 25th DAC, pp. 536-541, June 1988.
  376.  
  377.   V COMPILER
  378.   - IBM, USA
  379.   - scheduling and data path allocation from V-language
  380.   - Berstis, V: "The V Compiler: Automatic Hardware Design", IEEE Design
  381.     and Test, pp. 8-17, April 1989.
  382.  
  383.   VSS
  384.   - Univ. of California at Irvine, USA
  385.   - transformations, scheduling and data path allocation from VHDL to
  386.     MILO
  387.   - Lis, J. et al.: "Synthesis from VHDL", Proc. ICCD'88, pp. 378-381,
  388.     October 1988.
  389.  
  390.   YORKTOWN SILICON COMPILER
  391.   - IBM T.J.Watson Research Centre, USA
  392.   - data path synthesis, logic synthesis etc.
  393.   - Brayton, R.K., et al. "The Yorktown Silicon Compiler", Silicon Compilation,
  394.     pp. 204-311, Addison-Wesley, 1988
  395.  
  396. 18: What free tools are there available, and what can they do?
  397.  
  398.   (This section can be viewed as a cross reference to the detailed descrip-
  399.   tion of software that follows.)
  400.  
  401.     Analog VLSI and Neural Systems: Caltech VLSI CAD Tools
  402.  
  403.     Automated place and route: octtools, Lager
  404.  
  405.     Digital design environment: Galaxy CAD
  406.  
  407.     Lsi (polygon) schematic capture: magic, octtools(vem)
  408.  
  409.     Layout Verification: caltech tools (netcmp), gemini (Washington
  410.     Univerity), wellchk (MUG)
  411.  
  412.     PCB auto/manual place and route: PADS pcb, PCB (Just for testing lsi
  413.     designs, of course :)
  414.  
  415.     Simulation: irsim(comes with magic), esim, pspice, isplice3, watand,
  416.     switcap2.Synthesis: octtools, blis, Lager, item, (see section on synthesis)
  417.  
  418.     Standard schematic capture: PADS logic, PSPICE for windows
  419.  
  420. 19: What Berkeley Tools are available for anonymous ftp?
  421.  
  422.   available from ic.berkeley.edu:pub
  423.  
  424.   adore: switched capacitor layout generator.  (Requires Octtools 5.1 to
  425.   compile.)
  426.  
  427.   bdd:
  428.  
  429.   road: analog layout router
  430.  
  431.   sis: simplifies both sum-of-products and generic multi-level boolean
  432.   expressions; it includes many tools including espresso, bdd
  433.  
  434.   ext2spice: enhanced ext2spice for use with magic
  435.  
  436.   available from gatekeeper.dec.com:pub/misc
  437.  
  438.   espresso: simplifies sum-of-products boolean expressions
  439.  
  440. 20: What Berkeley Tools are available through ILP?
  441.  
  442.   (From MUG 20 Contributed by Carol Block of U. C. Berkeley)
  443.  
  444.   A new version of the popular circuit simulator, Spice3F2, is now avail-
  445.   able from the Industrial Liaison Program (ILP) Office at the University
  446.   of California, Berkeley.  A new release of Octtools will be forthcoming
  447.   in 1993. Enclosed is a list of software distributed by this office.
  448.  
  449.   Adore, BBL.2, Berkeley Building-Block Layout System, Berkeley Computer
  450.   Integrated Manufacturing System, Parameter Extraction Program for BSIM,
  451.   Parameter Extraction for BSIM2, Bear-FP, Bert, BLIS, Spice 2G with BSIM
  452.   Implementation, Cider, Ditroff/Gremlin, Ecstasy, EDIF 2 0 0, Elogic,
  453.   ES1:Electrostatis 1-Dimensional Periodic Plasma, Franz Lisp, Glitter,
  454.   IBC: Traveling-Wave-Tube Simulation, IEEE-754 Test Vector, Jsim, Jspice,
  455.   Lanso, Magic-X11R3-Patch, Magic 1990 Decwrl/Livermore Release, Mahjong,
  456.   Mighty, Octtools, Parmex Pix-Parmex, Plasma Device Simulation Codes, PLA
  457.   Tools, Proteus, Ptolemy, Relax, Ritual, Sample, Sample-3D, Additional
  458.   SAMPLE Documentation, Simpl-IPX and Simpl System 5, SIS, SPAM, Sparse,
  459.   Spectre, Spice 2G6, Spice 3F2, Additional SPICE Documentation, Splat,
  460.   Splice 3.0, Supercrystal, SWEC, Tempest, TimberWolf 3.2, Tsize, 1986 VLSI
  461.   Tools, Wombat.
  462.  
  463.   Within a few weeks, a new catalog will be available via anonymous FTP.
  464.   Users will also be able to obtain forms, ordering instruc- tions and some
  465.   software via this  means.   Generally,  recipients will  have  to com-
  466.   plete an Agreement Form and pay a documentation and handling fee of about
  467.   $250 per program.
  468.  
  469.   ILP can now distribute most of  its  programs  in  a  variety  of media,
  470.   including: QIC-120, QIC-150, QIC-320, 8mm (2.2 gig), TK 50 (DEC tape for-
  471.   mat), 9-track 1600 bpi and 9-track 6250  bpi.   Visa and  Mastercard ord-
  472.   ers will be accepted on-line by 1993.  Most of the software may be freely
  473.   redistributed either within an organi- zation  or  to other organiza-
  474.   tions, both within the United States and abroad, subject to the certain
  475.   restrictions,  including  all U.S.   Government restrictions, particu-
  476.   larly those concerning ex- port.
  477.  
  478.   (from blurb+ftp, in the ILP distribution)
  479.  
  480.   If you have access to ftp, then the tape is free (you just get to suck it
  481.   over by yourself) and you have to remember to print out the docs yourself
  482.   too.  The usual anonymous ftp rules:
  483.  
  484.           Name:    ic.Berkeley.EDU:edif
  485.           Address:  128.32.132.1
  486.  
  487.                |-EDIFWorld89.ps
  488.                |-Release_7.6-notes-reversed.ps
  489.                |-Release_7.6-notes.ps
  490.                |-Release_7.6.tar.Z
  491.           edif-|-agreement-reversed.ps
  492.                |-agreement.ps
  493.                |-agreement.tex
  494.                |-assurance-reversed.ps
  495.                |-assurance.ps
  496.                |-assurance.tex
  497.                |-blurb
  498.                |-blurb+ftp
  499.  
  500.   Other Ports
  501.    -------------------------------------------------------------------- I
  502.  
  503.   I have a port of the system for SysV, Apollo and HP machines as well
  504.   which is available on request.  Most of these operating systems are
  505.   mature enough now to work directly with Release 7.6.  The system has been
  506.   ported to other non-Unix machines such as VMS, the mac, and various main-
  507.   frame architectures; these latter being a nontrivial effort on the part
  508.   of the individuals involved, but it was accomplished.  I do not have
  509.   these ports; I just know that they are possible because they have been
  510.   performed by others.
  511.  
  512.           For additional information, contact:
  513.  
  514.                Industrial Liaison Program
  515.                205 Cory Hall
  516.                Software Distribution Office
  517.                University of California at Berkeley
  518.                Berkeley, CA  94720
  519.  
  520.                TEL: (510) 643-6687
  521.                FAX: (510) 643-6694
  522.                ilpsoftware@hera.berkeley.edu
  523.  
  524. 21: Berkeley Spice (Current version 3f4)
  525.  
  526.   (From spice_info on ic.berkeley.edu)
  527.  
  528.     Upgrading from Spice 3f2 to 3f4
  529.  
  530.   The current version is 3f4. This is derived from version 3f2 by applying
  531.   a patch. The patch is available via ftp from ic.berkeley.edu.
  532.  
  533.     Acquiring Spice 3f2
  534.  
  535.   For more information on how to acquire Spice3f2, please send your physi-
  536.   cal mailing address to "ilpsoftware@berkeley.edu" and request a software
  537.   catalog.  This will give you all of the necessary information for order-
  538.   ing Spice3f2 and other Berkeley CAD software, including an order form and
  539.   use agreements.  At last check, the cost for spice3f2 was $250.00 (this
  540.   price may change without notice).
  541.  
  542.     Systems supported and Formats Supplied
  543.  
  544.       Spice3f2 has been compiled on the following systems:
  545.           Ultrix 4, RISC or VAX
  546.           SunOS 4, Sun3 or Sun4
  547.           AIX V3, RS/6000
  548.           HP-UX 8.0, 9000/700
  549.           MS-DOS on the IBM PC, using MicroSoft C 5.1 or later
  550.  
  551.   The following systems have been successfully tested either in the past or
  552.   by someone outside of UC Berkeley.
  553.  
  554.           Dynix 3.0, Sequent Symmetry or Balance (does _not_ take advantage of
  555.                   parallelism)
  556.           HP-UX 7.0, 9000/300
  557.           Irix 3.2, SGI Personal Iris
  558.           NeXT 2.0
  559.           Apple MacIntosh, Using Think C
  560.  
  561.   Spice3f2 is distributed in source form only.  The C compiler "gcc" has
  562.   been used successfully to compile spice3f2, as well as the standard com-
  563.   pilers for the systems listed above.
  564.  
  565.   Spice3 displays graphs under X11, PostScript, or a graphics-terminal
  566.   independent library, or as a crude, spice2-like line-printer plot.  On
  567.   the IBM PC, CGA, EGA, and VGA displays are supported through the Micro-
  568.   Soft graphics library.  Note in particular that there is no Suntools
  569.   interface.
  570.  
  571.   Note the the X11 interface to Spice3 expects realease 4 or later, and
  572.   requires the "Athena Widgets Toolkit" ("Xaw") which may be available only
  573.   in the "unsupported" portion of your vendor software.  A version of
  574.   "OpenWindows" has problems due to undefined routines during linking --
  575.   linking with a null copy of these routines has reportedly worked, but
  576.   "OpenWindows" has not been tested in any way for this release.
  577.  
  578.   Note that for practical performance a math co-processor is required for
  579.   an IBM PC based on the 286 processor.  A math co-processor is also recom-
  580.   mended for the more advanced IBM PC systems.
  581.  
  582.   (from posting to comp.lsi.cad) The Windows NT port of spice3e2, Spice32,
  583.   is available via ftp from site
  584.   ftp.cica.indiana.edu:pub/pc/win3/nt/spice100.zip . A similar port of nut-
  585.   meg is included.
  586.  
  587.   (from Robert Zeff <robert@koko.csustan.edu>)
  588.  
  589.   Ir Spice32 / Nutmeg32 for Windows NT and
  590.   Win3.1 to Berkeley's version 3F4.  It is available by ftp from
  591.   csustan.csustan.edu:pub/spice/nutmeg.hlp .  I have removed the execut-
  592.   ables for DOD complience.  For access, see the readme file in that direc-
  593.   tory.
  594.  
  595.   The Unix distribution comes on 1/2" 9-track tape in "tar" format, TK50
  596.   tape (DEC tape), or QIC-150 1/4" cartridge tape (Sun cartridge tape).
  597.   The MS-DOS distribution comes on several 3.5" floppy diskettes (both high
  598.   and low density) in the standard MS-DOS format.  The contents of both
  599.   distributions are identical, including file names.
  600.  
  601.     New features in 3f2
  602.  
  603.   The following is a list of new features and fixes from the previous major
  604.   release of Spice3 (3e.2) (see the user's manual for details):
  605.  
  606.                   AC and DC Sensitivity.
  607.                   MOS3 discontinuity fix ("kappa").
  608.                   Added a new JFET fitting parameter.
  609.                   Minor initial conditions fix.
  610.                   Rewritten or fixed "show" and "trace" commands.
  611.                   New interactive commands "showmod" and "alter".
  612.                   Minor bug-fixes to the Pole-Zero analysis.
  613.                   Miscellaneous bug fixes in the front end.
  614.  
  615.               Additional features since release 3d.2 are:
  616.                   Lossy transmission line model (not available under MS-DOS).
  617.                   Proper calculation of sheet resistance in MOS models.
  618.                   A new command ("where") to aid in debugging troublesome
  619.                           circuits.
  620.                   Smith-chart plots improved.
  621.                   Arbitrary sources in subcircuits handled correctly.
  622.                   Arbitrary source reciprocal calculations and DC biasing
  623.                           now done correctly.
  624.                   Minor bug-fixes to the Pole-Zero analysis.
  625.                   Miscellaneous bug fixes in the front end.
  626.  
  627.     A Note on Version Numbering
  628.  
  629.   Spice versions are numbered "NXM", where "N" is a number representing the
  630.   major release (as in re-write), "X" is a letter representing a feature
  631.   change reflected by a change in the documentation, and "M" is a number
  632.   indicating a minor revision or bug-patch number.
  633.  
  634.     FTP Access and Upgrades
  635.  
  636.   There is no anonymous ftp access for the Spice3 source(see below). The
  637.   manual for spice3f2 (in it's postscript format) is available via
  638.   anonymous ftp from ic.berkeley.edu:pub/spice3/um.3f.ps .  If you are
  639.   interested in the troff/me source, contact the email address below (the
  640.   "make" files and whatnot are somewhat cumbersome for the manual).
  641.  
  642.   Patches or upgrades for Spice3 are _not_ normally supplied, however we
  643.   have made exceptions to this rule, particularly in the case of minor ver-
  644.   sion changes (such as 3f2 to 3f3).
  645.  
  646.     Email Address for Problems
  647.  
  648.   Please direct technical inquiries to "spice@berkeley.edu" or "spice-
  649.   bugs@berkeley.edu" (for now these addresses are the same), and ordering
  650.   or redistribution queries to "ilpsoftware@berkeley.edu".  If you find
  651.   that your email to "spice" or "spice-bugs" doesn't get a response in a
  652.   few days, resend your message.
  653.  
  654.   (from Jim Nance <jlnance@eos.ncsu.edu>)
  655.  
  656.   Hello all circuits people.  I have uploaded source and binaries for Spice
  657.   2g6 to sunsite.unc.edu:/pub/Linux/Incoming/spice2g6.tar.z .  As you are
  658.   probably aware, spice is a circuit simulator, written at Berkeley.  Ver-
  659.   sion 2g6 was released in 1983.  The current Berkeley version is approxi-
  660.   matly Spice 3f2, however, Berkeley does not want this distributed.
  661.   Source code for Spice 3e2 did escape from Berkeley and was ported to
  662.   Linux (and a lot of other platforms).  This code has been removed from
  663.   anonymous FTP servers, and is therefore no longer available.  Berkeley
  664.   does publish the source code for Spice 2g6.
  665.  
  666.   I obtained the source code for Spice from a 386BSD ftp site.  The code
  667.   compiled cleanly, with only minor changes to the Makefile being required.
  668.   I also included an ASCII spice manual which I have found helpful.
  669.  
  670. 22: Octtools (Current version 5.1)
  671.  
  672.   (From the ANNOUNCE-5.1 that comes with it)
  673.  
  674.   Octtools is a collection of programs and libraries that form an
  675.   integrated system for IC design.  The system includes tools for PLA and
  676.   multiple-level logic synthesis, state assignment, standard-cell, gate-
  677.   matrix and macro-cell placement and routing, custom-cell design, circuit,
  678.   switch and logic-level simulation, and a variety of utility programs for
  679.   manipulating schematic, symbolic, and geometric design data.  Most tools
  680.   are integrated with the Oct data manager and the VEM user interface.
  681.  
  682.   The software requires UNIX, the window system X11R4 including the Athena
  683.   Widget Set. The design manager VOV and a few other tools require the C++
  684.   compiler g++.
  685.  
  686.   Octtools-5.1 have been built and tested on the following combinations of
  687.   machines and operating systems: DECstation 3100, 5000 running Ultrix 4.1
  688.   and 4.2; DEC VAX running Ultrix 4.1 and 4.2; Sun 3 and 4 running OS 4.0
  689.   and Sun SparcStation running OS 4.0.  The program has been tried on the
  690.   following machines, but is not supported: Sequent Symmetry, IBM RS/6000
  691.   running AIX 3.1.
  692.  
  693.   To obtain a copy of Octtools 5.1 (8mm, tk50, or 1/4inch cartridge QIC150)
  694.   and a printed copy of the documentation) for a $250 distribution charge,
  695.   see section on Berkeley ILP.
  696.  
  697.   Questions may be directed to octtools@ic.berkeley.edu.
  698.  
  699. 23: Ptolemy (Current version 0.5):
  700.  
  701.   (From comp.lsi.cad)
  702.  
  703.    What is Ptolemy:
  704.    ---------------
  705.  
  706.   Ptolemy provides a highly flexible foundation for the specification,
  707.   simulation, and rapid prototyping of systems.  It is an object oriented
  708.   framework within which diverse models of computation can co-exist and
  709.   interact.  For example, using Ptolemy a data-flow system can be easily
  710.   connected to a hardware simulator which in turn may be connected to a
  711.   discrete-event system, etc.  Because of this, Ptolemy can be used to
  712.   model entire systems.
  713.  
  714.   Ptolemy also has code generation capabilities.  From a flow graph
  715.   description, Ptolemy can generate C code and DSP assembly code for rapid
  716.   prototyping.  Ptolemy can also generate Silage and VHDL descriptions for
  717.   hardware synthesis.
  718.  
  719.   Ptolemy has been used for a broad range of applications including signal
  720.   processing, telecomunications, parallel processing, wireless communica-
  721.   tions, network design, radio astronomy, real time systems, and
  722.   hardware/software co-design.  Ptolemy has also been used as a lab for
  723.   signal processing and communications courses.  Currently Ptolemy has hun-
  724.   dreds of users in over 100 sites, both in industry and academia.
  725.  
  726.   Ptolemy is available for the Sun 4 (sparc), DecStation (MIPS), and HP
  727.   (HP-PA) architectures. Installing the system requires 90 Mbytes for
  728.   Ptolemy (more if you optionally remake).  Ptolemy also requires at least
  729.   8 Mbytes of physical memory.
  730.  
  731.    Getting the New Release:
  732.    -----------------------
  733.  
  734.   Ptolemy is available via anonymous ftp at: ptolemy.eecs.berkeley.edu This
  735.   site contains the entire Ptolemy distribution, a postscript version of
  736.   the Ptolemy manual, and several Ptolemy papers.
  737.  
  738.           For those unfamiliar with anonymous ftp, here's what you need to do:
  739.           1.   FTP to Internet host "ptolemy.eecs.berkeley.edu"  (128.32.240.78)
  740.           2.   Login as "anonymous"; use your full email address as the password
  741.           3.   cd pub
  742.           4.   get the README file and follow its instructions.
  743.  
  744.           Organizations without Internet FTP capability can obtain Ptolemy
  745.           without support from ILP:
  746.  
  747.                   EECS/ERL Industrial Liaison Program Office
  748.                   Software Distribution
  749.                   205 Cory Hall
  750.                   University of California, Berkeley
  751.                   Berkeley, CA 94720
  752.                   (510) 643-6687
  753.                   email: ilpsoftware@eecs.berkeley.edu
  754.  
  755.   This includes printed documentation, including installation instructions,
  756.   a user's guide, and manual pages.  A handling fee (on the order of $250)
  757.   will be charged.
  758.  
  759. 24: Lager (Current version 4.0):
  760.  
  761.   (From MUG 18)
  762.  
  763. rized VLSI
  764.   design with a slant towards DSP applications (but not limited to DSP
  765.   applications).  A standard cell library, datapath library, several module
  766.   generators and several pad libraries comprise the cell library.  These
  767.   tools and libraries have originated from UC Berkeley, UCLA, USC, Missis-
  768.   sippi State, and ITD.  The tool development has been funded by DARPA
  769.   under the Rapid Prototyping Contract headed by Bob Brodersen (UC Berke-
  770.   ley).  LAGER 3.0 was described in MUG 15.
  771.  
  772.   Send email to reese@erc.msstate.edu if you are interested in obtaining
  773.   the toolset via FTP. If you cannot get the distribution via ftp then send
  774.   one 1/4" 600 ft. tape OR an 8 mm tape (Exabyte compatible) to Bob Reese
  775.   by phone at (601)-325-3670 or at one of the following addresses:
  776.  
  777.           (US Mail Address)
  778.           P.O. Box 6176
  779.           Mississippi State, MS 39762
  780.  
  781.           (FEDEX)
  782.           2 Research Boulevard
  783.           Starkville, MS 39759
  784.  
  785.   Be sure to include a return FEDEX waybill we can use to ship your tape
  786.   back to you. Instead of sending a tape and FEDX waybill, you can also
  787.   just send us a check for $75 and we will send you back a tape.  Make the
  788.   check payable to Mississippi State Univ.  The tape will be written on a
  789.   high density tape drive (150 Mb).  Older low density SUN tape drives (60
  790.   Mb) cannot read this format so you need to have access to one of SUN's
  791.   newer tape drives.
  792.  
  793. 25: BLIS (Current version 2.0):
  794.  
  795.   (From their announcement posted here)
  796.  
  797.   BLIS (Behavior-to-Logic Interactive Synthesis) is an environment for the
  798.   synthesis of digital circuits from high-level descriptions.  Version 2.0
  799.   supports functional-level synthesis starting from the ELLA hardware
  800.   description language.  Other languages can easily be supported by inter-
  801.   facing a parser to the internal data-flow representation of BLIS.
  802.  
  803.   BLIS is distributed through the Industrial Liason's Program (ILP) Office
  804.   of the UCB EECS department.  The cost of $250 covers media and distribu-
  805.   tion charges.  Binaries are provided for SUN4 and DEC MIPS architectures
  806.   but BLIS should compile on most other machines supported by the GNU C and
  807.   C++ compilers (e.g. HP, vax, etc).  ELLA language documentation and simu-
  808.   lator are not supplied with the BLIS distribution, but can be obtained
  809.   from Computer General.
  810.  
  811. 26: COSMOS and BDD
  812.  
  813.   (From their announcement posted here)
  814.  
  815.                 Obtaining and installing COSMOS and BDD.
  816.  
  817.   The COSMOS package generates switch-level simulators for MOS circuits.
  818.   The BDD package is a subset of COSMOS providing a set of library routines
  819.   for symbolic Boolean manipulation.
  820.  
  821.   To obtain a copy of either COSMOS or BDD via FTP:
  822.  
  823.   1. Create an appropriate subdirectory.  For COSMOS, you may want to
  824.